Priority encoder in verilog

I am somewhat new to verilog, I tried running this code but it gives me an error: I think it complains about in[7:i+1] but i don’t understand why ? Can someone please advise.. EDIT ok so I am reluctant to using the X due to their numerous problems.. I was thinking of modifying the code … Read more